芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

在半导体设计、制造、封装中的各个环节都要进行反复多次的检测、测试以确保产品质量,从而研发出符合系统要求的器件。 缺陷相关的故障成本影响高昂,从 IC 级别的数十美元,到模块级别的数百美元,乃至应用端级别的数千美元。因此,检测设备从设计验证到整个半导体制造过程都具有无法替代的重要地位。2020 年我国半导体检测设备市场为 176 亿元,预计未来五年预计复合增长率为 14% , 增速高于全球。

广义上的检测设备分为 前道量检测和后道测试 设备。量检测的对象是工艺过程中的晶圆,测试的对象是工艺完成后的芯片。前道量检测设备 2020 年全球市场为 69 亿美元,我国约 15 亿美元。后道测试设备关注的是在所有晶圆工艺完成后芯片的各种电性功能。 后道测试设备 2020 年全球市场为 62 亿美元,我国约 14 亿美元。

本期的智能内参,我们推荐天风证券的报告《半导体检测设备:从前道到后道》,从前道、后道两大检测板块还原半导体检测行业。

本期内参来源:天风证券

原标题:

《半导体检测设备:从前道到后道》

作者:潘暕 陈俊杰

一、检测设备:半导体良率关键

在半导体设计、制造、封装中的各个环节都要进行反复多次的检验、测试以确保产品质量,从而研制开发出符合系统要求的器件。从缺陷相关故障的影响成本从 IC 级别的数十美元,到模块级别的数百美元,乃至应用端级别的数千美元。因此,检测设备从设计验证到整个半导体制造过程都具有无法替代的重要地位。检测设备可以帮助工程师发现、侦测并监控关键的良率偏移,从而加快良率提升并达到更高的产品良率。

1、分类:前道量检测、后道测试

检测设备按照其功能和对应的 产业链位置不同, 可以分为前道量检测、后道测试两大类,分别应用于半导体产业链的上游设计验证、中游制程工艺的晶体管结构检测、下游封测芯片的成品终测。无论是前道检测还是后道测试,都是提升芯片良率及质量的关键设备。

前道量检测设备:前道量检测对 象是工艺过程中的晶圆, 它是一种物理性、功能性的测试,用以检测每一步工艺后产品的加工参数是否达到了设计的要求,并且查看晶圆表面上是否存在影响良率的缺陷,确保将加工产线的良率控制在规定的水平之上。

前道量检测包含膜厚量测设备、OCD 关键尺寸量测、CD-SEM 关键尺寸量测、光刻校准量测、图形缺陷检测设备等多种前道量检测设备。由于晶圆制造工艺环节复杂,所需要的检测设备种类较多, 因此也是所有半导体检测赛道中壁垒最高的环节,单机设备的价格比后道测试设备还高,且不同功能设备价格差异也较大。前道量检测设备供应商目前有美国的科磊、应用材料;日本的日立;国内的精测电子、中科飞测、上海睿励等。下游客户为集成电路制造商,包含台积电、中芯国际、长江存储等。

后道测试设备:应用于上游设计、下游封测环节中,目的是检查芯片的性能是否符合要求,是一种电性、功能性的检测,用于 检查芯片是否达 到性能要求。

一、上游设计商需要对流片完的晶圆与芯片样品进行有效性验证, 主要设备为测试机、探针台、分选机,因为作为样品测试所以通常并不会大量采购,但是会与下游封测深度联动,因此绑定集成电路设计商也成为后道测试设备商的壁垒之一。主要下游客户为集成电路设计商,例如:高通、联发科、海思、卓胜微、韦尔等。

二、封测环节主要可以分为:晶圆测试(CP),针对加工完的晶圆,进行电性测试,识别出能够正常工作的芯片,主要设备为测试机和探针台。部分客户为集成电路制造商还有部份第三方的晶圆测试商;成品测试(FT),最后晶圆切割变成芯片后,针对芯片的性能进行最终测试,主要设备为测试机和分选机;下游客户为集成电路封装测试商,包含日月光、通富、长电等。由于半导体终端应用持续攀升,催生出全自动及高性能的后道测试设备,加上集成电路产业与国际先进水平的差距逐步缩小,封装测试技术达到国际领先水平,后道测试设备迎来重要国产化机遇。后道测试设备供应商目前有美国的泰瑞达、爱德万;国内的精测电子、华峰测控、长川科技等。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

全球检测设备市场、分类及厂商

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

广义上的半导体检测设备分类

2、市场趋势

超越摩尔领域:模拟/混合信号、RF、MEMS、图像传感、电源等技术可与 CMOS 在各种平面乃至 2.5D、3D 架构中集成。这些集成和其他关键技术使人工智能、物联网和汽车雷达等一系列应用快速增长。

Yole Developpement 数据预计,到 2023 年,超越摩尔市场年增长速度所以晶圆尺寸合计约 7400 万片硅片,复合年增长率约为 3%。但仅考虑最流行的晶圆尺寸(12″、8″和 6″晶圆),到 2023 年,预测将变为 6000 万片,复合年均增长率约为 5%。对于半导体制造商来说,超越摩尔市场已成为半导体需求的重要来源,但这同时意味着 需要新的量检测和测试方法,以适应各种可能影响这些多技术设备产生的故障。

例如,汽车行业的一家主要半导体供应商恩智浦半导体说到:”缺陷相关故障的影响成本从IC 级别的数十美元,到模块级别的数百美元,到汽车应用端级别的数千美元。IC 在当今的汽车中被广泛使用,且未来使用会更多。汽车零部件故障可能导致严重伤害甚至死亡,所以汽车行业服务的零部件制造商使用以每万亿(ppt)的零件损失为测量标准,可见检测设备的需求更甚。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

半导体在汽车中的应用

如下图可见,在汽车领域,由于缺陷导致故障而无法使用的产品损失极大,在 1ppm 情况下,大众集团的损失可以达到每年 2.19 亿美元。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

汽车领域缺陷导致产生的产品损失 (百万美元)

摩尔定律领域:

新应用需求驱动了制程微缩和三维结构的升级,使得工艺步骤大幅提升, 成熟制程(以45nm 为例)工艺步骤数大约需要 430 道到了先进制程(以 5nm 为例)将会提升至 1250道,工艺步骤将近提升了 3 倍;结构上来看包括 GAAFET、MRAM 等新一代的半导体工艺都是越来越复杂,在数千道制程中,每一道制程的检测皆不能有差错,否则会显著影响芯片的成败。

中国半导体检测设备未来市场空间广阔具体原因如下:

1. 国家政策大力支持集成电路产业,检测作为关键一环尤为重要

集成电路产业是国民经济中基础性、关键性和战略性的产业,作为现代信息产业的基础和核心产业之一,在保障国家安全等方面发挥着重要的作用,是衡量一个国家或地区现代化程度以及综合国力的重要标志。国家为扶持集成电路行业发展,制定了多项引导政策及目标规划。第一,国家为规范集成电路行业的竞争秩序,加强对集成电路相关知识产权的保护力度,相继出台了《集成电路设计企业及产品认定暂行管理办法》、《集成电路布图设计保护条例》、《集成电路布图设计保护条例实施细则》等法律法规,为集成电路行业的健康发展提供了政策保障。

第二,国家出台了若干优惠政策,从投融资、税收、出口等各个方面鼓励支撑电路行业的发展,具体政策包括《财政部、税务总局、国家发展改革委、工业和信息化部关于集成电路生产企业有关企业所得税政策问题的通知》、《国务院关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知》等,为集成电路企业的发展创造了有利的市场环境。

第三,国家指定了《集成电路产业研究与开发专项资金管理暂行办法》、《国务院关于印发“十三五”国家科技创新规划的通知》等目标规划,将集成电路装备列为国家科技重大专项,积极推进各项政策的实施。国家政策的落地实施为产业发展破解融资瓶颈提供了保障,有力促进集成电路专用设备行业的可持续良性发展。

2. 半导体产业重心 由国际向国内 转移带来机遇

中国集成电路行业增长迅速,半导体行业重心持续由国际向国内转移。中国半导体产业发展较晚,但凭借着市场容量,中国已成为全球最大的半导体消费国。根据 CSIA 数据,2018年国内集成电路市场规模为 985 亿美元,同比增长 18.53%,2010 年至 2018 年国内集成电路市场复合增长率达到 21.10%,高于全球市场同期年复合增长率,中国已经超过美国、欧洲和日本,成为全球最大的集成电路市场。随着半导体制造技术和成本的变化,半导体产业正在经历第三次产能转移,行业需求中心和产能中心逐步向中国大陆转移。随着产业结构的加快调整,中国集成电路的需求将持续增长。

3. 集成电路产业发展迅速 ,增速高于 GDP 增长, 产品更新换代加速,新型应用领域不断涌现,为技术超车创造机遇

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2020-2021 全球 GDP 和 和 IC 市场增量(% )

如上图 IC Insights 的调查数据可见,2020 年集成电路增长率为 8% ,远超 GDP 增长,同样,2021 年预计集成电路增长率为超过 10% ,是 GDP 增长率的两倍以上。

作为全球最大的集成电路市场,中国集成电路产业随着 5G、电动汽车等的快速发展持续增长,为半导体测试需求带来增量空间。在国家重大科技专项的支持下,“十二五”期间中国集成电路产业各个环节的整体水平都有了明显提升,国产软硬件在航天、电力、办公应用和移动智能终端等领域实现规模应用,为保障国家信息安全提供了重要支撑。伴随技术革新和产业升级换代的波浪式递进,市场机会窗口不断涌现,每一次的技术升级都为集成电路及其专用设备制造企业带来了发展机会。

当前,以互联网、智能手机为代表的信息产业的第二次浪潮已步入成熟,增速放缓,而以物联网为代表的信息感知及处理正在推动信息产业进入第三次浪潮,物联网革命已经悄然开始。在物联网智能时代,由于交互模式的改变,智能化产品的多样性必然会更加丰富,对各类信息的采集形成了快速膨胀的数据处理需求,对海量数据的有效处理将成为真正推动集成电路行业发展的核心驱动力。物联网、大数据、人工智能、5G 通信、汽车电子等新型应用市场带来巨量芯片增量需求,为半导体自动化测试系统企业提供更大的市场空间;同时,第三代半导体 GaN 等半导体新技术的出现为国内半导体自动化测试系统企业带来超车国际巨头的新机遇。

4. 大陆芯片设计公司迎来大发展时代, 检测 需求将跟随发展

近年来,集成电路测试行业发展迅速,根据中国半导体行业协会 IC 设计分会的统计,截至 2019 年 11 月,中国大陆 IC 设计公司达到 1,780 家,比 2018 年的 1,698 家多了82 家,中国大陆的芯片设计公司迎来高速成长。IC 设计行业 2019 年的销售额为 3,063.5亿元,相比 2018 年增长了 21.60%。芯片设计公司的快速增长,使得芯片检测设备的市场需求随之增长。随着国内集成电路产业的快速发展和国产化加速,晶圆制造、芯片设计公司的测试服务需求越来越多,检测设备相关企业将迎来新的发展机遇。

5. 芯片复杂度提高,验证测试要求

对芯片最显著的改进不仅仅在设计流程中产生,而且在芯片调试和验证流程中反复进行,尤其是在高性能芯片的研制过程中。随着芯片复杂度的提高,对验证测试的要求更加严格,与设计流程的交互更加频繁。随着芯片速度与功能的不断提高,超大规模集成电路尤其是集成多核的芯片系统(SOC)的出现使得芯片迅速投入量产过程难度增加,由此验证测试变得更加必要。目前,开发低成本高效率的全面验证测试策略成为芯片制造商的关注点。能够在早期(如初次样片测试阶段) 全面获取芯片品质鉴定的信息变得至关重要。

6. 检测本身已从工序到独立行业 , 贯穿 所有 流 程 ,未在检测流程发现缺陷则

早期的检测只是作为 IC 生产中的一个工序存在,被合并在制造业或封装业中。随着集成电路产业分工日益明晰和人们对集成电路品质的重视,再加上技术、成本和知识产权保护等诸多因素,检测目前正成为集成电路产业中一个不可或缺的、专业化的独立行业,作为设计、制造和封装的有力技术支撑,推动了集成电路产业的迅速发展。

在集成电路研制、生产、应用等各个阶段都要进行反复多次的检验、测试来确保产品质量和研制开发出符合系统要求的电路。半导体检测从设计验证到最终测试都不可或缺,贯穿整个半导体制造过程。半导体检测包括设计验证、工艺控制检测、晶圆测试(CP 测试)以及成品测试(FT 测试)。

按照电子系统故障检测中的“十倍法则”,如果一个芯片中的故障没有在芯片测试时发现,则在电路板(PCB)级别发现故障的成本为芯片级别的十倍。因此,检测在半导体产业中扮演着重要角色,且其地位日益凸显。

3、市场规模 : 重要地位日益凸显,中国增速高于全球

全球半导体检测设备市场概况:

根据智研咨询和 Gartner,SEMI 数据整理,2020 年检测设备全球市场规模约 131 亿美元,如下图可见。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

全球半导体前道量检测设备市场规模 2016-2020, (亿美元,%)

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

全球半导体后道测试设备市场规模 2016-2020 (亿美元,% )

我国 半导体检测设备市场概况:

据前瞻产业研究院统计,2020 年我国半导体检测设备市场规模 176 亿元。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2016-2020 中国半导体检测设备市场规模

随着我国半导体产业的不断发展,检测设备作为能够提高制程控制良率、提高效率与降低计成本的重要检测仪器,未来在半导体产业的地位将会日益凸显。前瞻产业研究预计 2026年我国半导体检测设备市场有望到达 400 亿元。2020-2026 CAGR 为 为 14.7% ,增速高于全球。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2020-2026 年中国半导体检测设备市场规模预测(亿元)

4、竞争格局:国外高度垄断 , 国产替代需求迫切

目前,国际国内市场中检测设备被国外高度垄断,目前绝大部分半导体设备依然高度依赖进口,提升“核芯技术”自主化率已迫在眉睫。

量检测设备领域:量检测设备行业具有极高的技术、资金壁垒,对业内公司研发能力有很强要求。海外巨头KLA 为首,AMAT、Hitachi 等合计占比超 90%。国内设备厂商由于起步晚基础薄,始终在努力追赶,国产设备仍有很大的突破空间。前道设备种类复杂,细分市场较多;其中,膜厚量测技术门槛较低,集中度相对分散,为国内厂商进入检测设备的突破口。

测试设备领域:测试种类繁多,客户需求多样化,因此测试设备往往存在非标定制化的特点。根据性能要求的不同,类别也是五花八门,包括外观尺寸测试、视觉测试等。虽然相比于光刻机、刻蚀机等前道设备,测试设备的制造相对容易一些,但是也存在较高的推广难度。目前全球设备市场份额主要被美、日等发达国家的先进厂商所占据,半导体测试设备行业已经形成了泰瑞达、爱德万两家垄断的局面。国内半导体设备厂商想要提高市场份额依然面临极大挑战。

进口替代需求迫切,测试设备的国产替代进程将加速:受中美贸易摩擦影响,供应链的安全日益受到重视,国产测试设备将得到更多的试用机会,在中低端模拟测试机和分选机领域,国产替代明显提速。目前绝大部分半导体设备依然高度依赖进口,提升“核芯技术”自主化率已迫在眉睫,上升至国家战略,进口替代是国内半导体设备公司面临的重大机遇。2018 年以来,国产半导体测试设备向中国大陆市场拓展,国产替代进程明显提速。

二、前道量检测设备:物理 、功能性检查

前道量检测运用于晶圆的加工制造过程,它是物理性、功能性的,用以检测每一步工艺后产品的加工参数是否达到了设计的要求,并且查看晶圆表面上是否存在影响良率的缺陷,确保将加工产线的良率控制在规定的水平之上。

前道量检测包含膜厚量测设备、OCD 关键尺寸量测、CD-SEM 关键尺寸量测、光刻校准量测、图形缺陷检测设备等多种前道量检测设备。由于晶圆制造工艺环节复杂,所需要的检测设备种类较多, 因此也是所有半导体检测赛道中壁垒最高的环节,单机设备的价格比后道测试设备还高,且不同功能设备价格差异也较大。前道量检测设备供应商目前有美国的科磊、应用材料;日本的日立;国内的精测电子、中科飞测、上海睿励等。下游客户为集成电路制造商,包含台积电、中芯国际、长江存储等。

1、三种分类标准:检测目的、应用范畴、技术原理

按照不同的分类方法,集成电路可以被分成不同的类型。

1)按照检测目的可以分为量测(Metrology)和缺陷检测(Defect Inspection);

2)按照应用范畴主要可以分为关键尺寸测量(Optical Critical Dimension OCD)、薄膜的厚度测量(Film Metrology)、套刻对准测量(Overlay Metrology)、光罩/掩膜检测(ReticleInspection)、无图形晶圆检测(Non-patterned Wafer Inspection)、图形化晶圆检测(Patterned Wafer Inspection)、缺陷复查(Review SEM);

3)按技术原理可以分为光学检测设备(Optical Inspection Equipment),电子束检测设备(E-beam Inspection Equipment)和其他检测设备。

2、检测目的分类: 量测和检测 , 价值 量随 工艺技术同步

量测(Metrology)和检测(Inspection):

前道量检测根据检测目的可以细分为量测(Metrology)和检测(Inspection)。 量测主要是对薄膜厚度、关键尺寸、套准精度等制成尺寸和膜应力、掺杂浓度等材料性质进行测量,以确保其符合参数设计要求;而缺陷检测主要用于识别并定位产品表面存在的杂质颗粒沾污、机械划伤、晶圆图案缺陷等问题。

量测和缺陷检测对于半导体制造过程非常重要。半导体晶圆的整体制造过程有 400 至 600个步骤,需要一到两个月内完成。如果流程早期出现任何缺陷,则后续耗时步骤中执行的所有工作都将被浪费。因此,在半导体制造过程的物理量测和缺陷检测是其中的关键步骤,用于确保良率和产量。新应用需求驱动了制程微缩和三维结构的升级,使得工艺步骤大幅提升, 成熟制程(以 45nm 为例)工艺步骤数大约需要 430 道到了先进制程(以 5nm 为至 例)将会提升至 1250 道,工艺步骤将近提升了 3 倍;结构上来看包括 GAAFET、MRAM等新一代的半导体工艺都是越来越复杂;虽然相较于制造设备,量测设备的技术门槛较低,但是在数千道制程中,每一道制程的检测皆不能有差错,否则会显著影响芯片的成败。

量测(Metrology ):量测(Metrology)不仅指测量行为本身,而且指通过考虑误差和准确性而进行的测量,以及测量设备的性能和机制。如果测量结果不在给定的规格范围内,则制造设备无法按设计继续运行。

检测(Inspection )查找缺陷的位置坐标:检测可以检测缺陷并指定其位置涉。主要用于使用检查设备来检查是否出现异质量情况,如检测晶圆中存在灰尘或者颗粒污染等缺陷的过程。具体来说,它旨在查找缺陷的位置坐标(X,Y)。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

缺陷检测设备原理

3、应用范畴分类: 关键尺寸、 膜厚 、 套刻对准 , 光罩/ 掩膜 、 图形 、 缺陷复查

按照应用范畴分类,量检测可以主要分为七大类:关键尺寸量测、薄膜厚度量测、套刻对准量测、光罩/掩膜检测、无图形晶圆检测、图形化晶圆检测、缺陷复查检测等。

量测按应用可以主要分为关键尺寸量测,薄膜的厚度量测及套刻对准量测

关键尺寸量测-半导体制程中最小线宽一般称之为关键尺寸,其变化是半导体制造工艺中的关键。随着关键尺寸越来越小,容错率也越小,因此必须要尽可能的量测所有产品的线宽,可见关键尺寸的量测重要性越发关键。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

关键尺寸量测

薄膜厚度量测: 厚度、反射率、密度量测 , 鉴定和监控不同薄膜层。薄膜厚度量测(Film Metrology ):在整个制造工艺中硅片表面有多种不同类型的薄膜,包含金属、绝缘体、多晶硅、氮化硅等材质。晶圆厂为生产可靠性较高的芯片时薄膜的质量成为提高成品率的关键,其中薄膜的厚度、反射率、密度等都须要进行精准的量测。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

薄膜厚度量测

套刻对准量测:高阶矫正光刻机、掩模和硅片位置误差,提高覆盖精度。套刻对准测量应用在光刻工艺后,主要是用于量测光刻机、掩模版和硅片的对准能力。量测系统检查覆盖物的准确性(叠加工具)测量用于检查传输到晶圆上的第一层和第二层图案的射覆盖精度。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

套刻对准量测

光罩/ 掩模检测 : 捕获光罩缺陷和图案位置错误 , 降低缺陷引发风险。可以说,光罩/ 掩膜检测远比其他应用,例如无图案或图案晶圆检测重要。这是因为,虽然裸晶圆或图案晶圆上的单个缺陷有可能损坏一个器件,但掩模版上的单个缺陷可能会摧毁上千个器件。

在半导体器件生产中,零缺陷光罩(也称为光掩模或掩模)是实现芯片制造高良率的关键因素之一, 因为光罩上的缺陷或图案位置错误会被复制到产品晶圆上面的许多芯片中。光罩的制造采用光罩基板,即镀了吸收薄膜的石英基板。优秀的光罩检测、量测和数据分析系统产品能够协助光罩基板、光罩和 IC 制造商识别光罩缺陷和图案位置错误,以降低良率风险。

通常,掩模在使用过程中很容易吸附粉尘颗粒,而较大粉尘颗粒很可能会直接影响掩模图案的转印质量,如果不进行处理会进一步引起良率下降。因此,在利用掩模曝光后,通常会利用集成掩模探测系统对掩模版进行检测,如果发现掩模版上存在超出规格的粉尘颗粒,则处于光刻制程中的晶圆将会全部被返工。掩模检测系统工作原理可见下图:

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

光罩/掩膜检测系统工作原理示意图

Fab 中对掩模缺陷的检测分为在线和离线两种。在线检测是指每次曝光之前和之后对掩模板表面检测。这通常是依靠光刻机中内置的检测单元来完成的。最常见的是集成在 ASML系列光刻机上的掩模检测系统。IRISTM 对即将被使用的掩模或刚使用完毕后的掩模的正反两面分别扫描,发现吸附在掩模上的颗粒,并报警。光刻工程师看到报警信号后做相应处理。图 16 是 IRISTM 工作的原理图。在做颗粒扫描时,掩模沿 Y 方向运动由机械手控制,X 方向的扫描由激光束的移动来实现。完成一次 IRISTM 扫描的时间大约等价于 2 到 3 个晶圆曝光的时间。通常对一批晶圆可以只做一次 IRISTM 扫描,这样可以减少占用生产的时间,提高光刻机的产能。

离线检测是指定期地把掩模从系统中调出来做缺陷检测。检测的时间间隔可以在掩模版管理系统中设定,也可以按使用的次数来决定是否做检测。半导体设备供应商提供专用设备来做这种检测。离线检测的优点是分辨率高,有些检测设备还能对检测出来的缺陷做简单处理。

EUV 光罩/掩模检测:波长更短,检测灵敏度更高。传统的检查 EUV 光掩膜的方法主要是将深紫外光(DUV)应用于光源中,而极紫外(EUV)的波长较 DUV 更短,产品缺陷检测灵敏度更高。

EUV 掩模版的检测原理为:电磁波辐射到细小缺陷颗粒上被散射形成暗场,这样可以实现缺陷的检测,系统采用 364nm 的工作波长,对于基地大小为 88nm 的缺陷,检测可行度为97%。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

EUV 掩模版缺陷检测:聚焦扫描原理示意图

除了仅由 ASML 提供的 EUV(极紫外光)光刻系统之外,三星电子和台积电之间在争夺超微加工工艺所需设备的安全方面的竞争也越来越激烈。APMI (光化图案掩膜检查)系统和制造掩膜的写入器就是最好的例子。这个设备是芯片制造的关键工具,当芯片制程小于5 纳米时,它们将决定生产率和质量。

EUV 掩模的高科技检查系统能够检查基于复杂结构的 EUV 掩模,比目前使用 ArF 光源的检查系统更精确,更紧密。这个新的检查系统在将掩模引入生产线之前和之后进行检查。业界将此系统称为 APMI 系统。

EUV 光罩(半导体线路的光掩模版、掩膜版)检验设备最近几年需求增长尤其旺盛,在这个领域,日本的 Lasertec Corp.是全球唯一的测试机制造商,Lasertec 公司持有全球市场 100%的份额。2017 年,Lasertec 解决了 EUV 难题的关键部分,当时该公司创建了一款可以检查空白 EUV 掩模内部缺陷的机器。2019 年 9 月,它又推出了可以对已经印有芯片设计的模板进行相同处理的设备,从而又创建了另一个里程碑。

传统的检查 EUV 光掩膜的方法主要是将深紫外光(DUV)应用于光源中,而 EUV 的波长较 DUV 更短,产品缺陷检测灵敏度更高。DUV 光虽然也可以应用于当下最先进的工艺 5纳米中,但是 Lasertec 公司的经营企划室室长三泽祐太朗指出,“随着微缩化的发展,在步入 2 纳米制程时,DUV 的感光度可能会不够充分”即,采用 EUV 光源的检测设备的需求有望进一步增长。

根据彭博社的报道,Lasertec 股价自 2019 年初到 2020 年下旬,已增长了 550%。在其公布的2020年7月-9月三个月的财报显示,这三个月Lasertec的销售额达到了131.65亿日元,而 2019 年同期的销售额则仅为 55.42 亿日元,增长了超过两倍。随着之后 5nm 制程的不断推进,Lasertec 未来的盈利增长空间广阔。

无图形晶圆检测 :检出裸晶圆颗粒及缺陷,奠定图形化检测基础。图形化定义:图形化使用光刻法和光学掩膜工艺来刻印图形,在器件制造工艺的特定工序,引导完成晶圆表面的材料沉积或清除。对于器件的每一层,在掩膜未覆盖的区域沉积或清除材料,然后使用新的掩膜来处理下一层。按照这种方式来重复处理晶圆,由此生成多层电路。

无图形化检测指在开始生产之前,裸晶圆 在晶圆制造商处获得认证,半导体晶圆厂收到后再次认证的检测的检测过程。

无图形晶圆检测系统用于晶圆制造商中的 晶圆运输检验、晶圆进货检验以及使用虚拟裸晶圆监控设备清洁度的设备状况检查。设备状况检查也由设备制造商在装运检查时和进货检查时执行。设备制造商使用光学检测系统检查晶圆和掩模板有无颗粒和其他类型的缺陷,并确定这些缺陷在晶圆上的 X-Y 网格中的位置。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

无图形晶圆上的缺陷检测流程

用于无图形晶圆缺陷检测的基本原理相对简单。激光束在旋转的晶圆表面进行径向扫描,以确保光束投射到所有晶圆表面。激光从晶圆表面反射,就像从镜子反射一样,如上图所示。这种类型的反射称为镜面反射。当激光束在晶圆表面遇到粒子或其他缺陷时,缺陷会散射激光的一部分。 可直接检测散射光(暗场照明)或反射光束(亮场照明)中强度的损失。

由于没有图案,因此无需图像比较即可直接检测缺陷。当激光束投射到旋转晶圆的粒子/缺陷上时,光线将被探测器散射和探测。因此,检测到粒子/缺陷。从晶圆旋转角度和激光束的半径位置,计算和记录了粒子/缺陷的位置坐标。镜面晶圆上的缺陷还包括晶体缺陷,如 COP 以及颗粒。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

无图形 晶圆上缺陷检测原理

晶圆的旋转位置和光束的径向位置决定了缺陷在晶圆表面的位置。在晶圆检测工具中,使用 PMT 或 CCD 方式记录光强度,并生成晶圆表面的散射或反射强度图。此图提供有关缺陷大小和位置的信息,以及由于颗粒污染等问题而导致的晶圆表面状况的信息。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

检测工具中的光收集、加工和晶圆映射

图形化晶圆检测 : 比较图像生成缺陷图 ,识别物理和高纵横比缺陷。应用材料公司表明,随着图形化和几何结构线宽的缩小,在早期技术节点不构成问题的瑕疵,现在已成为“致命”的缺陷,或影响成品率的主要因素。

图形化晶圆的光学检测可采用明场照明、暗场照明,或两者的组合进行缺陷检测。此外,电子束 (EB) 成像也用于缺陷检测,尤其是在光学成像效果较低的较小几何形状中。然而,它非常缓慢,只在研发阶段使用。模纹晶圆检测系统将晶圆上的测试芯片图像与相邻芯片(或已知无缺陷的”金”模片)的图像进行比较。缺陷的位置会生成缺陷图,类似于为无图案晶圆生成的图。与无图案晶圆的检查一样,图形化晶圆检测需要精确且可重复的运动控制,测试系统的晶圆级和光学元件同时移动。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

图形化晶圆检测原理

缺陷复查检测:放大缺陷图像进行 甄别,提供依据优化制程工艺。缺陷复查检测 (Review SEM) :随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备的解析度已无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检设备的进一步复查才能对缺陷进行清晰地图像成像和类型的甄别,从而为半导体制程工艺工程师优化制程工艺提供依据。

缺陷复查是一种使用扫描电子显微镜 (SEM)检查晶圆上的缺陷。使用缺陷复查将半导体晶圆缺陷检测系统检测到的缺陷放大为高放大倍率图像,以便对该图像进行检阅和分类。缺陷复查设备主要与电子设备和其他半导体生产线的检测系统一起使用。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

缺陷复查设备功能

在缺陷检测系统中,将缺陷图像与相邻的模子图像(参考图像)进行比较,由于图像差异(差值图像处理)而检测缺陷。与缺陷检测系统类似的缺陷复查设备通过与相邻模具的电路模式进行比较来检测缺陷,并获得缺陷的正确位置。然后将缺陷移动到视场的中心,并拍摄放大的照片。

缺陷复查设备通常工作流程:

1.使用检测系统检测出晶圆缺陷。检测系统列出缺陷的位置坐标,并输出到文件中;

2.检查出晶圆和检验结果的文件加载到缺陷复查设备中。

3.拍摄列表中缺陷的图像:

根据缺陷列表中的位置信息确定缺陷位置。缺陷的图像由缺陷复查设备决定是否复查缺陷。有时,使用缺陷数据文件中的位置信息无法发现晶圆上的缺陷。由于各种错误,仅使用位置信息不容易发现缺陷。

4、技术原理分类: 光学、电子束检测, 应用互补 ,多方位检测

在前道工艺中,有很多类型的 检测系统,其中包括电子束检测系统、光学明场检测系统和光学暗场检测系统。 一般来说, 光学 明场检测系统用于详细检查模式缺陷。 光学 暗场检测系统可以高速检测,用于大量晶圆的缺陷检测。激光从晶圆表面反射,就像从镜子反射一样。当激光束在晶圆表面遇到粒子或其他缺陷时,缺陷会散射激光的一部分。暗场直接检测散射光,明场照明反射光束中强度的损失。电子束检测可提供材料对比度,其动态分辨率范围比光学检测系统大得多。

光学检测、电子束检测两者在制程工艺的检测中应用互补。光学的特点在于快速与完整,通常可以全天候进行检测,在需要实时检测以及离工艺机台较近甚至直接与工艺机台集成的应用场景下就会使用光学检测,通过光的反射、衍射光谱进行测量,具备检测速度快、成本低、范围广的优点;但是传统光学的波长是奈米等级,无法做非常精细的检测,所以会再使用电子束做更精细的检测。电子束波长是皮米等级,可以高分辨率的采集图像进行分类与分析。对于工艺的将测必须要精确评估,如果未检测到制程偏移和潜在良率问题,会使得生产的产品无法使用,因此需要多项检测设备进行多方位的检测。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

光学明场、暗场检测原理

无图形晶圆检测 :通常,暗场检测是无图形晶圆检测的首选,因为可以达到高栅格速度,可实现高晶圆吞吐量。 图形化晶圆检测是一个慢得多的过程。它使用明场和/ 或暗场成像,具体取决于应用。

电子束 (EB ) 成像也用于缺陷检测,尤其是在光学成像效果较低的较小几何形状中。电子束检测可提供材料对比度, 其动态分辨率范围比光学检测系统大得多。然而,电子束应用受测量速度缓慢限制,因此主要在研发环境和工艺开发中对新技术进行鉴定。新的电子束工具可用于 10 nm 及更低节点的缺陷检测应用,并且正在开发具有最多 100 列或测量通道的多电子束工具。

在电子束检测系统中,电子束被照射到晶圆表面,并检测出发射的二次电子和背散射电子。此外,电子束检测系统根据器件内部布线的电导率,将二次电子的量作为图像对比度(电压对比度)进行检测。如果检测到高纵横比接触孔底部的电导率,可以检测到超薄厚度的 SiO2 残留物。

光学(明场,暗场),电子束检测都有其自身的功能,不过基本检测原理是相似的:

基本原理:随机缺陷通常由颗粒(如灰尘)引起,并且发生在随机位置,正如名称所暗示的那样,随机缺陷在特定位置反复发生的可能性极低。因此,晶圆检测系统可以通过比较相邻芯片(也称为 DIE)的图案图像获取差异来检测缺陷。

如下图:晶片上的图案被电子束或光沿管芯阵列捕获。通过比较下图中的图像(1)图像(2)来检测缺陷。如果没有缺陷,则通过数字处理从图像(1)中减去图像(2)的得到为零的结果。相反,如果裸片图像(2)的中存在缺陷,则该缺陷将保留(如图像(3)),这个缺陷会被记录其位置坐标。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

电子束检测原理

5、全球市场及主要厂商 :国外高度垄断,膜厚量测打开细分赛道突破口

2020 年前道量检测设备全球市场规模约 69 亿美元。细项设备拆分来看, 排在前列的设备为图形化检测占 32% 、掩模版量测占 15% 、膜厚检测占 12% 、 关键尺寸量测占 10%。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2016-2020 全球半导体前道量检测市场规模及拆分(亿美元,% )

细分赛道市场可见下图, 其中,膜厚量测技术门槛相对较低,集中度相对分散,KLA 占比35% 、Nanometrics 占比 23% 、Nova 占比 16%。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

前道量检测细分赛道市场情况(%)

全球前道量检测设备主要厂商 :在量检测市场中,KLA 占比超过 70%,第二名 Hitachi High Tech 占比 14%左右,Onto 紧随其后。(注:Nano 和 Rudolph 2019 宣布合并)

前道量检测设备厂商

1 ) 科磊(KLA ):KLA Corporation 的前身是 KLA-Tencor Corporation,世界知名的半导体(芯片)设备供应商,总部位于美国硅谷。自 1976 年成立以来,KLA 致力于“制程控制”技术的研发与创新,该专业领域可分为检测、量测、数据分析三大基础部分,三部分相互配合,为芯片制造工业提供全方位的在线检测、量测、数据源分析,将实时信息反馈给每一道关键制程,帮助做到制程的及时优化与改进。KLA 作为制程控制技术的领导者,秉承为全球客户提供定制化优质服务的理念,承诺按需求及时优化制程控制方案,实现对先进制程技术量产,现有制程高质量、高产能等工业技术的要求。

研发投入: 去年 KLA 在研发方面投入了 6 亿多美元,履行对解决最严峻技术挑战的承诺。从 1975 年突破性的光罩检测设备为半导体工艺控制带来的曙光,到今天的宽带等离子技术能够快速发现缺陷,KLA 总喜欢保持领先。

最新产品:2020 年 12 月 10 日 KLA 宣布推出两款全新产品:PWG5™ 晶圆几何系统 与Surfscan® SP7XP 晶圆缺陷检测系统。新系统专注解决先进的存储器与逻辑集成电路制造中遇到的极其困难的问题。PWG5 量测系统具备前所未有的分辨率,能测量出晶圆几何形貌的微小变形,从源头识别并修正图案化晶圆的变形。而且,这些关键的晶圆几何形状测量现在能够配合在线生产的速度,并在较大的翘曲范围内完成。新的 Surfscan SP7XP 无图案晶圆缺陷检测系统具有灵敏度和生产能力方面的进步,并引入了基于机器学习的缺陷分类方式,可以应对更广泛的薄膜和基材类型,捕获和识别更大范围的缺陷类型。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

KLA 最新产品

2)应用材料 Applied Materials(AMAT)

应用材料公司是材料工程解决方案的领导者,全球几乎每一个新生产的芯片和先进显示器的背后都有应用材料公司的身影。

AMAT 检测设备产品包括:SEMVISION™ G7 缺陷分析系统:具备对晶圆斜边和侧边的独特成像能力;Aera4 掩膜检测系统是采用 193nm 工作波长的第四代检测工具,它以独特的方式,将真实空间成像技术与前沿的高分辨率成像技术相结合;PROVISION™ EBEAM INSPECTION 电子束检测系统: 业界第一款能够达到 1nm 分辨率的电子束检测设备,能够检测到以往 EBI(电子束检查)技术无法识别的缺陷;VERITYSEM® 5I 测量系统: 具备独一无二的内嵌三维功能,可对 1x 纳米及以下节点的逻辑和存储器件进行量产规模的测量以及诸如栅极和鳍高度的FinFET测量的超越传统测量方法。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

应用材料 AMAT 检测设备

3) 日立 HITACHI

日立作为社会创新事业的全球领军者,开展的业务涉及电力、能源、产业、流通、水、城市建设、公共、医疗健康等领域,通过与客户的协创提供优质解决方案。现在,日立正凭借创业之初即拥有的运用控制技术优势,以及长达半世纪之久的 IT 技术,并融合大数据分析、AI 等数字技术,在全球加速开展社会创新事业,致力解决各种社会课题,成为”IoT 时代的创新合作伙伴”,努力实现在全球市场的进一步发展。

主要检测设备:半导体蚀刻系统 9000 系列:统一接口并且能够搭载高精度模块化的各种腔室,从而实现了对应最尖端器件的扩展性和柔软性的工艺;高解析度 FEB 测量装置 CG6300(HITACHI CD-SEM):通过电子光学系统的全新设计提高了解析度,并进一步提高了测量可重复性和图像画质;高速缺陷观测设备 CR6300(Defect Review SEM):运用 ADR 和高精度 ADC 来为提高良率做贡献的 Inline 缺陷观测 SEM。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

日立 Hitachi 检测设备

4)耐诺公司 Onto Innovation (ONTO)

Onto Innovation 由 Nanometrics 和 Rudolph Technologies 合并而成,通过整合两家半导体行业专供不同领域的领导者,新公司的半导体产品供应链尤其是检测系统的覆盖面更广。Onto Innovation 是美国第四大晶圆生产设备供应商,也是全球前十五大公司之一。是为数不多的端到端供应商之一,产品和应用范围覆盖半导体产业链,从无图案化晶圆质量、前道工艺量测和宏观缺陷检测,再到先进封装光刻和后段检测,以及企业级软件解决方案。

5)ASM PACIFIC (ASMPT )

ASMPT 于 1975 年在香港成立, 集团是全球首个为半导体封装及电子产品生产的所有工艺步骤提供技术和解决方案的设备制造商,包括从半导体封装材料和后段(芯片集成、焊接、封装)到 SMT 工艺。全球并无其他设备供应商拥有类似的全面产品组合及对装嵌及 SMT程序的广泛知识及经验。

半导体解决方案分部生产及提供半导体装嵌及封装设备,应用于微电子,半导体,光电子,及光电市场。其提供多元化产品如固晶系统,焊线系统,滴胶系统,切筋及成型系统及全方位生产线设备。 SMT 解决方案业务负责为 SMT、半导体和太阳能市场开发和分销一流的 DEK 印刷机,以及一流的 SIPLACE SMT 贴装解决方案。ASMPT 总部位于新加坡,自1989 年起在香港联交所上市。

ASMPT 前道量检测设备应用于 MEMS 图像传感器封测流程中,下图为 ASMPT 图像传感器的封测应用流程,其中包括了光学检测设备:

ASMPT 光学检测具体设备包括:

1)CM-Inspector 全自动化光学检视机 (CMOS 装嵌);2)TwinSpector 全自动光学检测设备。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

ASMPT 检测设备

6、 中国主要厂商:紧握细分市场机遇

膜厚量测技术门槛相对较低,集中度相对分散 ,为中国公司打开细分赛道突破口。主要中国厂商见下:

1)上海精测:上海精测成立于 2018 年,核心技术团队来自国内外丰富产业经历人才,通过自主研发及吸收引进先进技术,实现半导体测试设备的技术突破及产业化,快速做大做强。公司聚焦半导体前道检测设备领域,以椭圆偏振技术为核心开发了适用于半导体工业级应用的膜厚量测以及光学关键尺寸量测系统,在产品推向市场后,先后于 2020 年 1 月中标长江存储 3台集成式膜厚光学关键尺寸量测仪,并于 2020 年 8 月再次中标 3 台,其他客户的拓展工作也已取得了较好的成绩,电子显微镜等相关设备的研发符合预期,预计近期将完成首台套的交付,产品受到国内重点客户认可。

先进检测设备:国内首台拥有完全自主知识产权的半导体前道检测设备。不仅是 2021 年 1 月中标的量测设备,上海精测在缺陷检测设备中也有突破。2020 年 12月上海精测半导体推出 eView 全自动晶圆缺陷复查设备并正式交付,该设备是基于扫描电子显微镜技术的复查和分类的设备,应用于集成电路制造过程,可对光学缺陷检测设备的结果进行高分辨率复查、分析和分类,满足 10x nm 集成电路工艺制程的需求。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

上海精测检测设备案例

2) 中科飞测:深圳中科飞测科技有限公司(以下称“公司”) 是以海外留学归国的研发和管理团队为核心、与中科院微电子研究所深入合作、自主研发和生产工业智能检测装备的高科技创新企业,检测技术在行业处于国际前沿地位,检测设备在市场实现设备的国产化。2016 年公司被认定为深圳市高新技术企业,并成为中国集成电路测试仪器与装备产业技术创新联盟理事单位。

目前,深圳中科飞测科技有限公司是 国内唯一一家自主研发集成电路和先进封装检测设备和光学三维尺度量测模块及整体设备的企业。公司以市场、研发和服务为战略核心,以对智能制造细分市场需求的深度了解和卓越的自主研发创新技术为核心竞争力,是引领行业的先进封装检测设备和光学量测设备的供应商。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

中科飞测主要设备

3) 上海睿励

睿励科学仪器(上海)有限公司是于 2005 年创建的合资公司,致力于研发、生产和销售具有自主知识产权的集成电路生产制造工艺装备产业中的工艺检测设备。公司的产品填补国家重大产业链中的重要空白,自列入了 2005 年上海市科教兴市重大产业科技攻关项目起,得到了政府和业界的大力支持和高度关注。

4) Optima

成立时间 2015 年 2 月 3 日,业务为开发,制造和销售半导体晶圆检查设备和测量设备。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

Optima 主要设备

2019 年赛腾股份公告,公司拟以现金方式购买 Kemet Japan 株式会社持有的日本 Optima株式会社 20,258 股股份,占标的公司股权比例为 67.53%,股权收购价款 270,105.99 万日元(约合人民币 16,395 万元)。并对 Optima 株式会社进行增资,增资金额 120,000 万日元(约合人民币 7,284 万元),总计投资金额 390,105.99 万日元(折合人民币约 23,679 万元)。

三、后道测试设备:电性测试

半导体后道测试设备主要是用在晶圆加工之后、封装测试环节内,目的是检查芯片的性能是否符合要求,属于电性能的检测。如下图可见晶圆检测和成品测试为晶圆制造和封装测试的最终步骤:

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

后道测试设备在集成电路流程中所处位置

晶圆检测环节:晶圆检测是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对晶圆上的裸芯片进行功能和电参数测试。探针台将晶圆逐片自动传送至测试位置,芯片的 Pad 点通过探针、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入信号并采集输出信号,判断芯片功能和性能在不同工作条件下是否达到设计规范要求。测试结果通过通信接口传送给探针台,探针台据此对芯片进行打点标记,形成晶圆的 Map 图。该环节的目的是确保在芯片封装前,尽可能地把无效芯片筛选出来以节约封装费用。

成品测试环节:成品测试是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成后的芯片进行功能和电参数测试。分选机将被测芯片逐个自动传送至测试工位,被测芯片的引脚通过测试工位上的基座、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入信号并采集输出信号,判断芯片功能和性能在不同工作条件下是否达到设计规范要求。测试结果通过通信接口传送给分选机,分选机据此对被测芯片进行标记、分选、收料或编带。该环节的目的是保证出厂的每颗集成电路的功能和性能指标能够达到设计规范要求。

随着 2018-2020 年中国大陆多家晶圆厂陆续投建及量产,国内封测厂陆续投 入新产线以实现产能的配套扩张,将持续带动国内半导体测试设备市场高速增长。

1、分类:测试机 、 探针台、分选机,保障性能助力良率提升

主要测试设备简介:测试机(ATE)、探针台(Prober)、分选机(Handler)。半导体测试处于晶圆制造、封装测试这两个工序里,核心设备涵盖了测试机、分选机、探针台 3 种,都是通过计算机控制进行测试检验的自动化设备。 其中,测试机负责检测性能,后两者主要检测连接性;探针台与测试机配合于晶圆制造工序,分选机与测试机配合在封装测试工序。

2、全球市场: 测试机市场份额过半

测试机(ATE )是检测芯片功能和性能的专用设备,分选机和探针台是将芯片的引脚与测试机的功能模块连接起来的专用设备 ,与测试机共同实现批量自动化测试。

2020 年后道测试设备市场规模约 62 亿美元。根据 Gartner 数据,2016 年至 2018 年全球半导体后道测试设备市场规模为 37 亿、47 亿、56 亿美元,年复合增长率为 23%,2019 年根据 SEMI 发布全球半导体设备中后道设备占 9%计算,主要受到全球半导体设备景气的影响下降至 54 亿美元。后道量测设备中测试机在 CP、FT 两个环节皆有应用,因此占比最大达到 63.1%,其他设备分选机占 17.4%、探针台占 15.2%。测试机占比大的原因:在设计验证和成品测试环节,测试机需要和分选机配合使用;在晶圆检测环节,测试机需要和探针台配合使用。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

中国测试设备构成及市场规模(单位:% , 亿 美 元)

3、测试机 : 测试器件电路功能及电性能参数 ,保驾护航贯穿始终

测试机(ATE):半导体测试机又称半导体自动化测试机,与半导体自动化测试系统同义。两者由于翻译的原因,以往将 Tester 翻译为测试机,诸多行业报告沿用这个说法,但现在越来越多的企业将该等产品称之为 ATE system,测试系统的说法开始流行,整体上无论是被称为 Tester还是 ATE system,皆为软硬件一体。半导体测试机测试半导体器件的电路功能、电性能参数,具体涵盖直流参数(电压、电流)、交流参数(时间、占空比、总谐波失真、频率等)、功能测试等。集成电路测试贯穿了集成电路设计、生产过程的核心环节,具体如下:

第一、集成电路的设计流程需要芯片验证,即对晶圆样品和集成电路封装样品进行有效性验证;

第二、生产流程包括晶圆制造和封装测试,在这两个环节中可能由于设计不完善、制造工艺偏差、晶圆质量、环境污染等因素,造成集成电路功能失效、性能降低等缺陷,因此,分别需要完成 晶圆检测(CP, Circuit Probing )和成品测试(FT, Final Test) ),通过分析测试数据,能够确定具体失效原因,并改进设计及生产、封测工艺,以提高良率及产品质量。

无论哪个环节,要测试芯片的各项功能指标均须完成两个步骤:一是将芯片的引脚与测试机的功能模块连接起来,二是通过测试机对芯片施加输入信号,并检测输出信号,判断芯片功能和性能是否达到设计要求。

4、后道测试市场格局

后道测试设备三大寡头垄断,日本 Advantest、美国 Teradyne 和 Cohu 合计占比超 90%。其中,Advantest 在应用占比最大的 SOC 领域具备较大的优势;Teradyne 则是在应用占比第二大的存储领域具备优势。目前模拟、功率领域国产化替代初显,但在 SOC 与存储这两个领域是技术难度最高,也是国内后道测试设备厂商急需突破的领域。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2019 年测试机主要设备公司及占比(% )

1 )泰瑞达 (Teradyne )

泰瑞达目前是 全球最大的半导体测试设备公司,总部位于美国马萨诸塞州,于 1960 年成立,目前员工人数超过 4,900 人。泰瑞达已经在行业内深耕半个多世纪,主要产品包含半导体测试系统、国防/航空存储测试系统、无线测试系统以及协作机器人业务,其中半导体测试系统涵盖逻辑、射频、模拟、电源管理、混合信号和存储设备等多个方向。作为半导体测试设备的龙头企业,自上世纪 80 年代起,泰瑞达先后收购了 Zehnetel、Magatest 等多家公司,快速地扩展了自己的半导体测试设备业务,成为 SoC 类测试、数字\模拟信号类和电路板测试设备等细分领域的市场领导者。

2008 年,泰瑞达收购了服务于闪存测试市场的 Nextest 和模拟测试市场的领跑者 EagleTest System (ETS)。至此,泰瑞达成为能够提供模拟、混合信号、存储器及超大规模集成电路测试设备的厂商,下游客户遍布整个半导体产业链。2018 年度,泰瑞达营业收入为 21.01 亿美元(约合人民币 144.18 亿元),净利润为 4.52 亿美元(约合人民币 31.01亿元)。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

泰瑞达测试机主要分类

2 )爱德万 (Advantest)

爱德万是存储器测试龙头企业,总部位于日本东京,于 1946 年创立,1972 年进入半导体测试系统行业,目前员工人数超过 4,500 人。业务涵盖 SoC 测试系统、存储器测试系统、分选机等领域以及其他新兴业务与服务领域。

20 世纪 70 年代初,爱德万应日本机械振兴协会的要求,研发日本第一台 10MHz IC 测试系统,正式进军半导体测试设备领域。80 年代,凭借对全球半导体产业需求变化敏锐的嗅觉,爱德万于 1976 年推出了全球首台 DRAM 测试机 T310/31,并在存储器测试机领域长期占据优势地位。2011 年,爱德万成功收购 惠瑞杰(Verigy)开始进军 SoC 测试市场。在 SoC 测试设备市场,其市场占有 率仅次于泰瑞达,位居全球第二。六十多年来,爱德万测试已成为全球最大的集 成电路自动测试设备供应商之一。 2019 财年(截至 2019 年 3 月 31 日),爱德万营业收入为 2,824.56 亿日元(约 合人民币 171.08 亿元),净利润为 569.93 亿日元(约合人民币 34.52 亿元)。

3 )科休半导体 (Cohu )

科休半导体是全球测试分选机、半导体测试系统领先企业,总部位于美国特拉华州,于1947 年成立,目前员工人数超过 3,500 人,主要业务包括半导体分选机、裸板 PCB 测试系统及接口产品、备件和套件等辅助设备。2018 年 10 月,科休半导体收购了国际知名的半导体测试设备厂商 Xcerra,成功进入半导体测试系统领域。

4) ASM PACIFIC (ASMPT)

ASMPT 于 1975 年在香港成立, 集团是全球首个为半导体封装及电子产品生产的所有工艺步骤提供技术和解决方案的设备制造商,包括从半导体封装材料和后段(芯片集成、焊接、封装)到 SMT 工艺。全球并无其他设备供应商拥有类似的全面产品组合及对装嵌及 SMT程序的广泛知识及经验。

半导体解决方案分部生产及提供半导体装嵌及封装设备,应用于微电子,半导体,光电子,及光电市场。其提供多元化产品如固晶系统,焊线系统,滴胶系统,切筋及成型系统及全方位生产线设备。 SMT 解决方案业务负责为 SMT、半导体和太阳能市场开发和分销一流的 DEK 印刷机,以及一流的 SIPLACE SMT 贴装解决方案。ASMPT 总部位于新加坡,自1989 年起在香港联交所上市。

在 ASMPT LED / 光电产品应用解决方案 以及 先进封装解决方案中包含了晶圆测试,一站式测试及分类等测试设备。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

ASMPT 光电产品应用解决方案及现金封装解决方案

全球测试机主要细分领域占比:细分领域中 SOC 测试机,泰瑞达占比 51% ,爱德万占比 35.5% ,科休占比 4.7% 。存储器测试机爱德万占比 60% , 泰瑞达占比 25% 。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2019 测试机全球细分领域占比分类(%)

全球半导体测试机市场呈现高集中度的特点,2017 年市场占有率最高的前两家企业合计市场份额达近九成。在国内市场,以华峰测控为代表的少数国产测试设备厂商已进入国内外封测龙头企业的供应商体系,正通过不断的技术创新逐渐实现进口替代。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2019 测试机中国 细分领域占比分类(% )

国内外测试设备制造商在确定其技术路线和产品结构时均有所侧重,如泰瑞达(Teradyne)主要产品为测试机,爱德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机,东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中艺主要产品为分选机。

我国少数国产测试设备厂商已进入国内外封测龙头企业的供应商体系,正通过不断的技术创新逐渐实现进口替代。从测试机的产品结构来看,2018 年中国集成电路测试机中存储器测试机和 SoC 测试机所占份额位居前两位,分别为 43.8%和 23.5%;数字测试机、模拟测试机、分立器件测试机紧随其后,分别为 12.7%、12.0%以及 6.8%,RF 测试机为 0.9%。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2018 年中国集成电路测试机产品结构(单位:亿元)

由上图可见存储器测试机需求量最大。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

存储器测试设备分类及占比(% )

国内测试设备主要公司:

1) 武汉精鸿:由上图可见目前存储器测试设备主要分为 CP Tester、B/I Tester、FT Tester 和 SLT Tester,其中 CP Tester 占 57.69%。存储器测试设备是制约我国存储器制造业快速发展的“卡脖子”难题。存储器测试设备的加速升级是亟需解决的重点。 武汉精鸿正是在此背景下新成立,专注于存储器芯片测试设备的厂商。目前武汉精鸿已经在存储测试设备领域的各个方面展开布局。武汉精鸿在 BI测试、CP/FT 测试已经基本实现小批量产,短期内可实现规模量产。

武汉精鸿在 Burn-in 这个领域已经较靠前,相关产品已经实现量产,目前已交付长江存储,取得了很好的反馈。在其他相关技术所取的成就方面,武汉精鸿目前在并测数方面已经取得一定成果,比如最新开发的 CP 测试设备,相关指标已经超过对标产品,主要原因是单板设计方面做了很大的改良。在整机散热方面,通过实验室的仿真改良,也有机会在该领域有所斩获。而在信号互连方面,目前也在加大研发,争取在该领域有所突破。

2) 长川科技:长川科技成立于 2008 年,总部位于中国杭州市,主要从事集成电路专用设备的研发、生产和销售,是一家致力于提升我国集成电路专用测试技术水平、积极推动集成电路装备业升级的国家高新技术企业和软件企业,在职员工数量合计 452 人(截至 2018 年末)。长川科技的主要产品包括测试机、分选机及自动化生产线。

2018 年 5 月,长川科技公告计划收购新加坡集成电路封装测试设备制造公司 STI,系长新投资持有的核心资产。截至 2019 年 7 月 31 日,长川科技已经取得长新投资 100%股权,相关工商变更登记手续已经办理完毕。

3)华峰测控:北京华峰测控公司主营业务为半导体自动化测试系统的研发、生产和销售,产品主要用于模拟及混合信号类集成电路的测试,产品销售区域覆盖中国大陆、中国台湾、美国、欧洲、日本、韩国等全球半导体产业发达的国家和地区。自成立以来,公司始终专注于半导体自动化测试系统领域,以其自主研发的产品实现了模拟及混合信号类集成电路自动化测试系统的进口替代。目前,公司已成长为国内最大的半导体测试系统本土供应商,也是为数不多进入国际封测市场供应商体系的中国半导体设备厂商。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

华峰测控主要设备

华峰测控,长川科技关键测试设备技术指标对比,国内公司有望达到国际一流水平,下图中达到或超过世界一流公司的已打星表示。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2020 主要测试机公司关键技术指标对比

5、探针台:负责晶圆输送与探针定位,提升测试效率

我国探针台市场规模 2019 年约为 10.25 亿元,2022 年将增长到 15.69 亿元。半导体探针台厂商的竞争格局:东京精密,东京电子较高垄断市场。

半导体设备的技术壁垒高。随着半导体行业的迅速发展,半导体产品的加工面积成倍缩小,复杂程度与日俱增,生产半导体产品所需的制造设备需要综合运用光学、物理、化学等科学技术,具有技术壁垒高、制造难度大及研发投入高等特点。

国际企业占主导,国内企业在突破。从全球市场看,半导体探针台设备行业集中度较高,目前主要由国外厂商主导,行业呈现较高垄断的竞争格局。 东京精密(Accretech) 、东京电子(Tokyo Electron) 两家公司占据全球约七成的市场份额。其次为 中国 台湾企业,如台湾惠特、台湾旺矽等也占有较大的市场份额,特别是在 LED 探针台领域具有优势。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

2019 年 全球 及我国 探针台市场 TOP 企业格局 (%)

从国内市场看,东京精密(Accretech)市场占比最高;第二梯队为东京电子(Tokyo Electron);而台湾惠特和深圳矽电相差不大,占比在 13%-15%。而中国本土企业中,深圳矽电是国内规模最大的探针台生产企业,进展较快,近三年营业收入保持年均 20%以上的增速,并且在大陆市场的基础上,开始拓展中国台湾地区市场。

此外,国内长川科技、中国电子科技集团 45 所、西 700 厂等厂商值得关注。长川科技的主要产品为测试机和分选机,探针台处于研发阶段,尚未形成收入。中国电子科技集团 45所的探针台在改革开放前曾一度是国内市场的主流机型,市场占有率高达 67%,近年来依托原有技术积淀发展较快,探针台产品包括手动探针测试台和自动探针测试台;西 700 厂主要侧重于研制 4 探针模式的手动探针台。

探针台市场趋势:长期看好

长期来看,国内的半导体整体产业及半导体制造业增长稳定,带动封测需求。随着联网设备的大规模成长,以及对数据处理、运算能力和数据存储的需求激升,驱动了物联网、人工智能与高效能运算等技术的逐渐成熟,人工智能及物联网等终端产品的应用,包括 5G通讯、工业用智能制造、车用电子与智慧家居等需求即将量增。终端应用持续攀升将导致对半导体的需求日渐增长,刺激半导体封测技术、需求明显提升,催生 IC 封装从低阶封装技术,朝向高阶和先进封装技术等领域发展。对于仰仗半导体封测业的探针台产业而言,终端应用衍生的高阶封装需求激增,封测需求持续成长,加上半导体产业导入新材料所衍生的各种机会,都有望刺激探针卡市场需求持续增长。

晶圆尺寸持续增大,从 6”到 8”再到目前的 12″,而对应的探针台也从手动向半自动和全自动发展。在此过程中,涉及到晶圆尺寸、精度、分辨率以及测试原理等变化,未来的探针台将沿着以下几个方向改进。

(1)测试品种多。早期的探针台主要针对一些分立器件进行测试,测试精度要求不是很高,但是随着信息化的发展、晶圆片尺寸增加、封装尺寸的减小以及纳米工艺技术的成熟,对测试效率和稳定性提出很高的要求。其产品测试已经扩展到 SOC、霍尔元件等领域,因此,大直径晶圆片测试、全自动晶圆测试以及高性能晶圆片测试是未来的发展方向。

(2)微变形接触技术。Mirco Touch 微接触技术,它减少了测试易碎器件或者 pad 处于活动电测区域下的接触破坏,实现了对于垂直升降系统的精准的控制,大大降低了探针接触晶圆的冲击力,同时也提高了测试过程中探针的精准度,保证了良品率。因此,未来的探针台将会在微变形接触等技术上投入更大的成本。

(3)非接触测量技术。随着电磁波理论和 RFID (射频识别)技术的成熟,接触式测试将会因为更高的良率、更短的测试时间以及更低的产品成本等潜在优势越来越受到青睐。这种测试方法中,每个裸片内含集成天线,TESTER 通过电磁波与其通信,可以消除在标准测试过程中偶然发生的测试盘被损时间,减低缺陷率。

目前,我国的半导体行业的国产化率仍然比较低,设备领域尤其明显,探针台市场领域,国产设备的在国内市场的份额不超过 20%,亟需发展和提高。随着以深圳矽电、长川科技、中电科 45 所为代表的国内产设备企业飞速发展,预计未来国产探针台在国内市场的占比将越来越高。国内半导体产业的逐步崛起,将给上游设备龙头公司带来较大的成长空间。

6、分选机:高精度高兼容,负责产品的测试接触、拣选和传送

分选机(Handler ):封装测试环节的核心设备为测试机和分选机。分选机主要承担机械方面的任务,包括产品的测试接触、拣选和传送等。分选机把待测芯片逐个自动传送至测试工位,芯片引脚通过测试工位上的金手指、专用连接线与测试机的功能模块进行连接,测试机对芯片施加输入信号并采集输出信号,完成封装测试。测试结果通过通信接口传送给分选机,分选机据此对被测试集成电路进行标记、分选、收料或编带。集成电路产品的封装类别多样化,使得分选机设备生产商需要持续改进机械结构和精度,并提高其兼容性,以满足对不同封装尺寸和外形的需求。

芯片保护神:检测设备地图!国产替代冲破寡头垄断 | 智东西内参

全球分选机市场主要企业及占比(%)

上图可见,分选机市场中 Advantest 和 Cohu 占了一半的市场份额,其余的一般有其他厂商分散占据,可以说格局相对分散,国内有望加速取得市场话语权。

国内主要公司:

1 ) 长川科技:长川科技生产的集成电路测试机和分选机产品已获得长电科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认可。

2 )上海中艺:上海中艺成立于 2001 年,主要从事集成电路自动化设备的研发、制造、销售,主要产品包括集成电路分选机、编带机等。

智东西认为,半导体检测贯穿于集成电路制造工艺流程的始终,在半导体制造过程具有无法替代的重要地位。但是,现阶段前、后道检测设备的研发具有很高的技术和资金壁垒,该市场同光刻、刻蚀一样,也呈现出国外巨头高度垄断的状况。但是,随着我国集成电路产业的高速发展,在检测设备的细分领域,比如说在探针台和分选机方面,有望实现突破。